推荐帖子
CycloneV板子使用心得一
[align=left][size=7][color=#17365d]设计方案[/color][/size][i][size=3][color=#4f81bd][font=Cambria]Cyclone V [/font]板子使用心得一[/color][/size][/i][/align][align=left][color=#000000]本设计方案旨在搭建一个地面移动智能机器人平台,并利用这个
garyhappy4
机器人开发
有关FIFO操作fifo的问题
我用软件自带的IP核去调用FIFO的,参数都设置好后,我用signal s : std_logic_vector(7 downto 0);process(filter_clk)beginif rising_edge(filter_clk) thens = s + 1;filter_dat_out = s;end if;。。去给fifo写入数据然后再Niosii里面的C语言语句:if(READ_EM
tpengti
FPGA/CPLD
turck直线位移传感器如何设定初始值及终点值
买了一个turck直线位移传感器,工作一直为红灯(意思是盲区),可能吧初始点和终点设置到一起了 求高人指教如何设置 464960494@qq.COM万分感谢
suwenbo789
传感器
求海思车载DVR方案
各位大神,现需要一套海思3520车载DVR方案,4/6/8路录像,带4G传输,有渠道的请联系我,可以合作。18823337249
zoezou
汽车电子
MOST管开关电路
电路如下图所示:[img=110,0]https://bbs.eeworld.com.cn/forum.php?mod=image&aid=209873&size=300x300&key=0e62d81a9ae01719&nocache=yes&type=fixnone[/img]Q2是Pmos管,FDN338P,Q1是Nmos管FDN335N,当GPIO为高电平的时候,Q2可以正常导通,VBAT
seanwaye
模拟电子
可以登入www.infineon.com/bldc_cn,查找详细内容。
无刷电机演示系统有现成的了可以直接买,免得再花精力,物力,财力去研发了。
英飞凌在电机控制方面确实做得很好,经验丰富,而且操作简易,可快速进行开发新产品。