- 本课程为精品课,您可以登录eeworld继续观看:
- 示教定时器指令TTMR
- 登录
- 时长:7分14秒
- 日期:2024/09/28
- 收藏视频
- 上传者:抛砖引玉
- 去评论
推荐帖子
-
关于双电源输出公共地的问题
- [i=s] 本帖最后由 sunboy25 于 2016-1-13 11:01 编辑 [/i]我想问一下用LM7815和LM7915做正负双电源的公共地除了用变压器中心抽头做公共地外,还有其它方法做公共地吗?
-
sunboy25
电源技术
-
南京有没有公司能做单片机解密
- 有一个单片机产品(ATMEL89C51),我想把里面的二进制程序弄出来,不要源代码。有没有公司做这方面的啊?各位达人告诉我一下
-
sun77xujing
嵌入式系统
-
FPGA菜鸟求助。。。请各位大侠帮忙
- 我用了Altera的EP1C6Q240C8的一块学习板,自己用VHDL编了个小程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt is port (clk,rst:in std_logic; count:out std_logic);end entity;architectur
-
xiumugengmu
FPGA/CPLD
-
AT89C52怎么下载程序?
- 有个问题~我的AT89c52怎么下载程序啊?本人学生,没那么多钱买编程器啊,毕竟因为上次第一次买芯片,没问清楚就买了 ~~~o(︶︿︶)o 唉网上搜了一大通,但是没找到具体的符合我目前要求的,想要串口下载,9针的~~可惜没找到啊
-
xin2893
51单片机
用户评论