• 本课程为精品课,您可以登录eeworld继续观看:
  • 电路分析基础.20
  • 登录
课程介绍
相关标签: 清华大学 电路分析
电子科技大学网络教育学院出品,电路分析基础。电路分析是电子类专业的第一门基础课。电路理论包括电路分析和电路综合两大方面内容。电路分析的主要内容是指在给定电路结构、元件参数的条件下,求取由输入(激励)所产生的输出(响应);电路综合则主要研究在给定输入(激励)和输出(响应)即电路传输特性的条件下,寻求可实现的电路的结构和元件的参数。
显示全部 ↓
推荐视频

    用户评论

    暂时无评论

    猜您喜欢

    推荐帖子

    旁路和退藕
    什么是旁路?旁路(Bypass),是指给信号中的某些有害部分提供一条低阻抗的通路。电源中高频干扰是典型的无用成分,需要将其在进入目标芯片之前提前干掉,一般我们采用电容到达该目的。用于该目的的电容就是所谓的旁路电容(Bypass Capacitor),它利用了电容的频率阻抗特性(理想电容的频率特性随频率的升高,阻抗降低,这个地球人都知道),可以看出旁路电容主要针对高频干扰(高是相对的,一般认为20M
    liutao2011 模拟电子
    RS485 通讯 帧错误
    在TI-2812开发板上调试485通讯,用串口调试器向下发数据时,RX状态寄存器显示有帧错误,从下望上发数据时,串口调试器接受的数据是错误的,但发送0是接受到的也是0.请高手帮帮忙!
    nfwo 嵌入式系统
    为什么我在UCOS系统里植入can总线的驱动不能成功?
    谁有成功移植的例子,只要能实现简单能收发功能就可以了
    寥落人间 实时操作系统RTOS
    信号D扩展宽度位20个脉宽
    library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity count5 isport(clk,d:in std_logic;q:~out std_logic);end;architecture rtl of count5 issignal
    eeleader FPGA/CPLD
    有人买过ATMEL的STK-05没?大概多少钱啊?
    我ATMEL的网站上的代理商连接看了下$150,真是贵啊,不知道有没有人在国内买过,会便宜多少
    dailyrfid 嵌入式系统
    【电源设计】开关电源各点波形
    [align=left][color=rgb(62, 62, 62)][b]1.单管反激电路基本结构[/b][/color][/align][color=rgb(171, 25, 66)][b]基本工作原理 ON/OFF(省略)[/b][/color][color=rgb(171, 25, 66)][align=left][b]2. 两种模式DCM 和CCM[/b][/align][align=l
    木犯001号 电源技术

    推荐内容

    可能感兴趣器件

    EEWorld订阅号

    EEWorld服务号

    汽车开发圈

    About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版 版权声明

    站点相关: 汽车电子 智能硬件

    北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

    电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2023 EEWORLD.com.cn, Inc. All rights reserved