• 本课程为精品课,您可以登录eeworld继续观看:
  • 国嵌内核驱动深入班-9-2(Linux-USB描述符)
  • 登录
课程介绍
相关标签: Linux 嵌入式
国嵌Linux内核驱动深入班。
演讲者:国嵌——是一群来自于世界顶级通讯、软件公司的嵌入式系统工程师,凭着对嵌入式技术的共同追求走到了一起并创建了成都国嵌信息技术有限公司。“技术是核心竞争力”、“让最专业的嵌入式培训平民化”是我们发展的两大核心理念。我们拥有最优秀的嵌入式技术团队,致力于把一流的嵌入式技术(如嵌入式Linux、Symbian、Android)和业界最先进的项目管理方法(如:Agile, Scrum)传递给所有嵌入式爱好者。
推荐视频

    猜您喜欢

    推荐帖子

    怎么在这个程序里加蜂鸣器啊
    :Cry:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity xiaoba10 isport( clk:in std_logic;-- co :out std_logic;--蜂鸣器 led7s1 : out std_logic_ve
    ws131d FPGA/CPLD
    问一个有关vc33存储器配置的问题
    我现在要设计一个vc33的应用系统,存储器分配如下400000--480000 为 EEPROM 程序存储器存储区100000--180000为 SRAM 数据,程序存储器在设计时该如何管理/page0,/page1,/page2,/page3这些信号线?
    lyzj3210 模拟与混合信号
    固件的存储区域
    [color=#555555][font=&quot][size=14px]请问固件为什么不存储在ROM呢,这样不就可以防止掉固件了吗?我对这一点有些不解。[/size][/font][/color]
    梦溪开物 单片机
    【项目外包】FPGA中实现TCP/IP IPV4或者IPV6的协议栈
    FPGA中实现TCP/IP IPV4或者IPV6的协议栈项目预算:¥ 3,000~20,000开发周期: 45天项目分类: 嵌入式竞标要求:项目标签:Xilinx FPGA TCP/IP 协议栈项目描述:1.在FPGA上实现 TCP/IP 的协议栈。2. IPV4和IPV6点此竞标2012-06-27本外包项目信息是由EEWORLD的合作网站CSTO发布的,如果您技术过硬且时间充裕,欢迎前来竞标。
    CSTO项目交易 FPGA/CPLD
    默念一个名人,电脑帮你猜出来
    [url]http://en.akinator.com/#[/url]
    凯哥 聊聊、笑笑、闹闹
    S5PV210 挂载两个外设在IIC1 s3c-i2c s3c2440-i2c.1: cannot get bus (error -110)错
    s3c-i2c s3c2440-i2c.1: cannot get bus (error -110)——但是在wince 下却能同时正常使用,不知道是什么原因,真是蛋疼,现在降低IIC1 速度也不行。只要断开一路IIC1 外设就可以正常工作了。.................
    gooogleman 嵌入式系统

    推荐内容

    热门视频更多

    可能感兴趣器件

    完成课时学习+分/次

     
    EEWorld订阅号

     
    EEWorld服务号

     
    汽车开发圈

     
    机器人开发圈

    About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版 版权声明

    站点相关: 汽车电子 智能硬件

    北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

    电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2025 EEWORLD.com.cn, Inc. All rights reserved