• 本课程为精品课,您可以登录eeworld继续观看:
  • D_A转换器的转换精度和速度
  • 登录
课程介绍
相关标签: 清华大学 数电 王红
本课程是电子技术基础的两大分支之一,属于入门性质的技术基础课。课程的主要内容为电子器件、电子电路的基本原理、数字电路的分析和设计方法,以及在实际中的典型应用等。清华大学“数字电子技术基础”课程的知识点包括逻辑代数基础、门电路、组合逻辑电路、时序逻辑电路、脉冲波形的产生与整形、半导体存储器、可编程逻辑器件,以及数/模和模/数间的转换电路等。课程的基本要求是熟练掌握电子技术方面的基本理论、基本知识和基本技能,培养具有分析问题和解决问题的能力,为深入学习电子技术及其在专业领域中的应用打好基础。
推荐视频

    猜您喜欢

    推荐帖子

    c51的8253求助
    我用51控制8253进行计数工作,片选接P24,A1A0通过373接P00P01,以下是我的总线方式#define cnt0_8253 XBYTE[0xe0f0]#define cnt1_8253 XBYTE[0xe0f1]#define cnt2_8253 XBYTE[0xe0f2]#define ctrl_8253 XBYTE[0xe0f3]我的计数器可以选到,但是我写初值时写不进去,换句话说
    80830008 嵌入式系统
    两个不好理解的电路图
    看到两个电路图(电路图如看不清楚,请双击放大),看的不明白,请高手指教图一:1)R1和C1的作用是否相当于低通滤波器?根据这方面的教材,如果是用作低通滤波器,电容应该连接在放大器“+”与GND间。 2)电阻R3的作用? 图二:看起来很复杂,是一个PID环节并联一个惯性环节吗?R1和R2的作用是?其时间常数=? 输出Uo与输入Ui之间的关系式?
    ycwwyt FPGA/CPLD
    LPC1114之SysTick计算
    LPC1114的SysTick延时时间的计算方式如下图我用这个延时做了一个LED闪烁的例子,现在共享给大家。下面是源代码,附件里有工程文件。#include "LPC11xx.h" /* LPC11xx definitions */#include "gpio.h"uint32_t flag = 0;/*-----------------------------------------------
    lixiaohai8211 NXP MCU
    大家帮忙看看
    我做了一个抢答器程序,但是仿真没波形能给点建议吗QQ:362372925谢了library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity qiang isport(reset,clk: in std_logic; k: in std_logic; i: in std_logic_vector(7 do
    heningbo FPGA/CPLD
    progisp V1.67安装不上??
    我的电脑(台式)上装progisp167的USB驱动装不上,为什么?我是从www.zhifengsoft.com上下载的,解压后不管是直接右击usbprog.inf安装还是插设备在向导里安装,都装不上。可是,同事的笔记本就能装!www.zhifengsoft.com的网站上也没有说怎么回事如何解决。谁来帮帮忙啊?
    xiaojuan222 嵌入式系统
    串口at指令,读取到的数据包括写入的吗?
    发送"at",读取的是"atOK",不仅是"OK",读取的包括写入的,at指令都是这个原理的吗?
    藤堂香澄 嵌入式系统

    推荐内容

    可能感兴趣器件

    完成课时学习+分/次

     
    EEWorld订阅号

     
    EEWorld服务号

     
    汽车开发圈

     
    机器人开发圈

    About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版 版权声明

    站点相关: 汽车电子 智能硬件

    北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

    电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2025 EEWORLD.com.cn, Inc. All rights reserved