本课程为精品课,您可以登录eeworld继续观看: 交流调压调速系统基本原理 登录
时长:43分1秒
日期:2022/01/24
收藏视频
上传者:Lemontree
去评论
推荐帖子
stm uart通信问题求助
使用stm8 uart2进行串口调试实验,初始化寄存器,使能接收发送和接收中断响应。平台发送数据到PC机:PC端接收正常。PC端发送数据到平台,平台能够接收到数据,但是都是乱码。请问哪位大虾碰到过此类问题,帮忙协助,感谢~~~
terry_cui
stm32/stm8
大家有做过单片机测血压的么?
[i=s] 本帖最后由 paulhyde 于 2014-9-15 03:40 编辑 [/i]大家做过单片机测血压的么?有什么好的方案没有?最近做一个东西想请教一下
lucky1992144
电子竞赛
【转】黑科技的遥控器之Apple TV 4完美拆解
[align=left][color=rgb(51, 51, 51)][size=13px]经历了几年的沉寂后,我们也终于迎来了 Apple TV 的更新-Apple TV 4,尽管距离 Apple TV 4 正式发售还有一段时间,但iFixit 已经以迅雷不及掩耳盗铃之势入手了这款全新的机顶盒设备,并对其和全新设计的遥控器进行了拆解。相比起来,这次凝结了大量黑科技的遥控器才是主角,看看吧,说不定
眼大5子
以拆会友
mpu6050
mpu6050会不会出现某个方向不准呢
mvfntft
MEMS传感器
FPGA访问MCP3201的程序
根据前面对MCP3201芯片硬件和时序研究,设计如下FPGA 程序访问MCP3201library ieee;use ieee.std_logic_1164.all; use ieee.std_logic_arith.all;use ieee.std_logic_signed.all;entity get_ad_mcp3201 isport ( clk: in std_logic; -------
eeleader
FPGA/CPLD
用户评论
好像以拖动为主,没有PLC、HMI等
这个肯定是用来教学的,研发试验不会用这玩意
这是教学用的吗?还是?