library ieee;use ieee.std_logic_1164.all;entity counter isport(en: in std_logic;clk5 : in std_logic;oout: out std_logic);end counter;architecture fun of counter isbeginprocess(en,clk5)variable vi : in
union mm {uchar bbb;struct {uchar BIT0:1;/* Port A Bit 0 */uchar BIT1:1;/* Port A Bit 1 */uchar PORTE_BIT6:1;/* Port A Bit 2 */uchar BIT3:1;/* Port A Bit 3 */uchar BIT4:1;/* Port A Bit 4 */uchar BIT5: