• 本课程为精品课,您可以登录eeworld继续观看:
  • range
  • 登录
课程介绍
相关标签: 神经网络 MATLAB
本课程汇集了MATLAB使用频率最高的近60种函数,包括基本操作函数、神经网络函数、图形用户界面GUI函数等,以知识点为单位、按由易渐难的顺序授课,让你轻松学会MATLAB
显示全部 ↓
推荐视频

    用户评论

    ICE2002
    讲的不够详细
    2022年04月30日 11:30:39回复|()
    #FuNing
    请问ppt可以分享吗
    2020年12月08日 16:00:52回复|()
    Timson
    视频非常不错!!!!!!!!!!!!!!!
    2019年02月28日 09:41:44回复|()

    猜您喜欢

    推荐帖子

    verilog语法小问题
    input a;output [7:0] b;wire a;reg [7:0] b;//////////////////////////////////////////input wire a;output reg [7:0] b;这两种语法有区别吗?
    osoon2008 FPGA/CPLD
    MSP430F1232最小系统测试单片机AD10的编程
    [backcolor=white][size=3][color=#000000]测试单片机程序,包括单片机初始化设置,时钟等,主要是AD模块的设置,通过TI官网的1232例程进行程序的编写。[/color][/size][/backcolor][backcolor=white][size=3][color=#000000]1、创建IAR项目,对项目的option进行设置,添加TI的例程c文件,编译
    Aguilera 微控制器 MCU
    放鞭炮!欢迎新版主zcgzanne~~
    zcgzanne有很多很好玩的想法大家跟着zcgzanne 一起玩吧~~:congratulate:
    soso 安防电子
    EBOOT下载BIN校验和出错?
    YL2440的板子,通过其EBOOT下载内核,可是总是校验和出错,如下所示:EthDown::TFTPD_OPEN::boot.bin-EbootSendBootmeAndWaitForTftpDownload BIN file information:-----------------------------------------------------[0]: Base Address=0x
    haiyang813 嵌入式系统
    KiCad-全志 Allwinner A64 硬件开发6层PCB文件参考资料
    手上现在没装KiCad,有装的网友可以打开截个图。需要的入
    ohahaha PCB设计
    谁能翻译下这段VHDL程序什么意思 3Q
    Library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity counter is 实体计数器是port( clk : in std_logic;enable : in std_logic;clr : in std_logic;gd : out
    zmxncb121 FPGA/CPLD

    推荐内容

    可能感兴趣器件

    EEWorld订阅号

    EEWorld服务号

    汽车开发圈

    About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版 版权声明

    站点相关: 汽车电子 智能硬件

    北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

    电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2023 EEWORLD.com.cn, Inc. All rights reserved