• 本课程为精品课,您可以登录eeworld继续观看:
  • 模糊控制在球杆系统中应用
  • 登录
课程介绍
相关标签: 自动控制 PID 模糊控制
本课程主要介绍经典控制理论、智能控制理论以及相关实验,共包含11个章节。通过课程教学达到以下目标:
(1)使学生掌握经典控制理论、智能控制理论的基本概念与实验原理,掌握时域和频域中常用的计算方法与分析方法。
(2)使学生理论联系实际,通过MATLAB编程,进行实验算法的设计,独立完成仿真实验

(3)使学生了解自动控制技术与人工智能、智能制造等其他学科的关系,将自动化技术与其他新兴技术相结合,促进国家智能化发展。

课件https://download.eeworld.com.cn/detail/%E6%9C%A8%E7%8A%AF001%E5%8F%B7/608428

显示全部 ↓
推荐视频

    用户评论

    暂时无评论

    猜您喜欢

    推荐帖子

    郭天祥十天学会C51单片机视频教程下载地址,国内公认最好
    郭天祥十天学会C51单片机视频教程目前国内公认的最好的视频教程,通俗易懂,容易上手,非常适合初学者,被大量的培训机构采用。只要你认真看,认真听,保证十天让你学会单片机应用和C语言编程。全部资料打包下载地址: https://pan.baidu.com/s/1wBRfyfOzk2jEXH6Mvn-2pg提取码:TXTX备用链接:https://caiyun.139.com/m/i?0u5CM8tFt
    tyxdz 51单片机
    简单的VHDL小语法问题
    我定义了SRAM_DATA1: inout std_logic_vector(15 downto 0);r: std_logic_vector(7 downto 0)将SRAM_DATA1的前八位赋值给r,请问VHDL格式怎么写?需要代码.
    kfchu 嵌入式系统
    1965年的泰克示波器TYPE453 带你回到遥远的过去
    [i=s] 本帖最后由 ylyfxzsx 于 2021-9-9 11:52 编辑 [/i]1965年的泰克示波器TYPE453,带你回到遥远的过去,它还能开机,正常使用的额。
    ylyfxzsx 以拆会友
    cd4046频率与9脚电压的线性化问题
    如上图,用3.3v给cd4046供电,通过变阻器调节9脚电压。先进行V/F转换,4脚输出3khz频率时,9脚电压是2.08v,然后输出频率接F/V电路的14脚,怎么测得9脚电压就不是2.08v了。而且4脚电压一直是vdd/2.。。求大神解答
    苏格拉鹏 模拟电子
    常用大功率电阻数据手册
    软件名称:常用大功率电阻数据手册授权方式:共享软件软件类型:器件数据软件大小:697K
    fighting PCB设计
    单片机—创业在望
    66519373,本群旨在方便大家交流心得,尤其是帮助大学生如何尽快的找到自己的方向,明确自己任务,尤其是能更好的构建一个交流的平台,让有创业想法的有志之士能走到一起,为我们祖国的电子事业更快、更强尽自己的一份力量!强烈注明:本人乃一名研一的学生,看到很多人跟我一样,都有创业的想法,但是种种条件的限制,无法发挥自己的idea,我相信跟我一样的人肯定大有人在,所以希望大家能够坦诚相待,加入该群请注明
    junliyang 嵌入式系统

    推荐内容

    可能感兴趣器件

    EEWorld订阅号

    EEWorld服务号

    汽车开发圈

    About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版 版权声明

    站点相关: 汽车电子 智能硬件

    北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

    电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2023 EEWORLD.com.cn, Inc. All rights reserved