课程介绍
讲解利用matlab进行自动控制仿真
推荐视频

    猜您喜欢

    推荐帖子

    ●嵌入式linux入门首选,项目实战体验!
    你是否想进入嵌入式高薪领域,却感觉无从下手?你是否在看书、查资料、论坛提问中缓慢而吃力的前行?时间便是成本!学习的路上,我们更需要专家系统的指引,这样会事半功倍!华清远见—嵌入式Linux入门实战免费体验日,一天的时间,解决您的烦恼,绝对超值的回报![b]优势/特色:[/b][color=#0000FF][/color]● 内容,传授最系统,最实用的技术,课程内容丰富!● 实践,教您完整的嵌入式开
    rcp2003 Linux开发
    跪求!声音定位系统的设计方案!有论文更好(附图)谢谢各位大侠了 急用!!
    [i=s] 本帖最后由 paulhyde 于 2014-9-15 09:09 编辑 [/i]有的麻烦您发到我邮箱[email=buqingyu8248178@yahoo.com.cn]buqingyu8248178@yahoo.com.cn[/email]谢谢各位了![[i] 本帖最后由 open82977352 于 2010-2-2 10:48 编辑 [/i]]
    06990211 电子竞赛
    06月04日 进度汇报帖,修改了原理图中几个问题
    检查了十分钟,发现了三个错误,可能是设计得太仓促,作了修改[font=Times New Roman]1.[/font][font=宋体]单片机的[/font][font=Times New Roman]P0[/font][font=宋体]口无内部上拉电阻[/font][font=Times New Roman],[/font][font=宋体]所以将原来键盘的下拉电阻改成上拉的接法[/font]
    莫恩 DIY/开源硬件专区
    【FPGA设计小技巧】同步设计的关键
    从下面的两个电路可以看出同步设计的关键所在第一个图:同步设计的电路结构:对应的VHDL 程序可以表示如下:signal Counter: std_logic_vector(1 downto 0);process(Clk)beginif rising_edge(Clk) thenif INPUT=‘1’ and Counter/=“11” thenCounter = Counter + 1;end
    eeleader FPGA/CPLD
    圆点博士小四轴飞行器高清大图欣赏
    圆点博士小四轴飞行器高清大图欣赏
    圆点博士 DIY/开源硬件专区
    金色丝印层是怎么弄出来的,需要特殊的工艺吗
    看了他们画的板子,有的丝印层颜色是金色,而我们一般的都是白色,不知道这种金色的丝印层是怎么做出来的,需要什么特殊的工艺吗?
    zhoulei88 PCB设计

    推荐内容

    可能感兴趣器件

    完成课时学习+分/次

     
    EEWorld订阅号

     
    EEWorld服务号

     
    汽车开发圈

     
    机器人开发圈

    About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版 版权声明

    站点相关: 汽车电子 智能硬件

    北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

    电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2025 EEWORLD.com.cn, Inc. All rights reserved