- 本课程为精品课,您可以登录eeworld继续观看:
- Image segmentation(一)
- 登录
- 时长:24分6秒
- 日期:2021/06/27
- 收藏视频
- 上传者:老白菜
- 去评论
推荐帖子
-
EEWORLD大学堂----Atmel汽车解决方案和应用
- Atmel汽车解决方案和应用:https://training.eeworld.com.cn/course/251Atmel汽车产品部高级副总裁Rob?Valiton讨论Atmel汽车解决方案与应用。
-
dongcuipin
聊聊、笑笑、闹闹
-
【我与WEBENCH】WEBENCH指导下的TPS54360降压24V转15V/3A板上测试及问题解决
- [i=s] 本帖最后由 地瓜patch 于 2014-1-15 15:12 编辑 [/i]【一需求分析】最近有个项目,需要将DC24V降压转换为DC15V,并且要求最大峰值3A的带载能力。这里的48V不是一个稳定的电压,会有波动。28V可以算是峰值,最低能到22V,也就是说是一个22-28V的输入电压,所以设计上考虑20-30V的输入。选型考虑原理图不要太复杂,电源转换效率要高。3A电流输出无需外
-
地瓜patch
模拟与混合信号
-
pic开发板带无线收发模块
- 现在做一个基于pic的家用无线调光系统,有知道的联系,多谢指教!
-
淡蓝晓鱼
Microchip MCU
-
编写CEC文件时出现的问题
- 在编写流接口驱动程序时,入口函数,驱动程序代码,注册表编写完后,要编写CEC文件,在此过程中,如何加入一个包含“Bild Method”和“BIB File”两个动作的“Feature”?这个问题困扰了我好久了,亟待解决阿,谢谢!
-
yb2004
嵌入式系统
-
新手求助。。达人速度进来赐教啦。。。跪拜。
- library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;---------------------------------entity signal_gen isport(clk:in std_logic; outp:out std_logic);en
-
wangpiaoke
FPGA/CPLD
完成课时学习+分/次