- 本课程为精品课,您可以登录eeworld继续观看:
- 找出含异常值的中位数
- 登录
- 时长:33秒
- 日期:2020/04/27
- 收藏视频
- 上传者:Lemontree
- 去评论
推荐帖子
-
场效应管参数符号及意义
- Cds---漏-源电容Cdu---漏-衬底电容Cgd---栅-源电容Cgs---漏-源电容Ciss---栅短路共源输入电容Coss---栅短路共源输出电容Crss---栅短路共源反向传输电容D---占空比(占空系数,外电路参数)di/dt---电流上升率(外电路参数)dv/dt---电压上升率(外电路参数)ID---漏极电流(直流)IDM---漏极脉冲电流ID(on)---通态漏极电流IDQ---
-
fighting
模拟电子
-
求高手指点为什么只能计数低八位
- library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity clock_10Hz isport(reset:in std_logic;clk_in:in std_logic;clk_out: out std_logic_vector(21 downto 0));end clock_10Hz ;arc
-
adou178432
FPGA/CPLD
-
求助sp12和STC89C52单片机的程序如何编写
- [table=98%][tr][td]我的毕业设计涉及到了SP12压力传感器与STC89C52这两个模块之间的连接,现在需要把SP12采集到的数据通过单片机的处理,最后通过串口通信将数据传输到LCD上。 但是现在的问题是,SP12和AT89S52之间的程序该怎么编写?他们之间我利用的是软件模拟方式进行通信。请各位高手帮忙答疑下,谢谢![/td][/tr][/table]
-
LLH-123
汽车电子
-
SP330接口电路求助
- 哪位大侠有SP330的成熟电路啊?可以兼容使用RS232和RS485形式的。谢谢!
-
bioger
分立器件
用户评论