- 本课程为精品课,您可以登录eeworld继续观看:
- 串口通信实验教程
- 登录
- 时长:1小时1分53秒
- 日期:2021/12/26
- 收藏视频
- 上传者:桂花蒸
- 去评论
推荐帖子
-
RISC-V SoC FPGA架构为Linux带来了实时性
- [align=left]Microchip[font=宋体]通过其[/font][font=Calibri]Microsemi Corporation[/font][font=宋体]子公司宣布推出新型[/font][font=Calibri]SoC FPGA[/font][font=宋体]架构,扩展其[/font][font=Calibri]Mi-V[/font][font=宋体]生态系统。新系列
-
朗锐智科
Linux开发
-
HCS12 分页区常量该如何读取呢?
- [font=Times New Roman][size=4][color=blue]硬件平台:MC9S12HY64开发环境: CODEWARRIOR V5.0编译模式是 BANK模式,代码如下:constUINT8__farfardata @0xe8000 = 0xaa;//分页区的数据constUINT8 *__farfarpt;//指向分页区的指针UINT8a;void read_far(vo
-
liufan
NXP MCU
-
如果开发基于ZigBee Mesh网络的私有应用,应该选择哪个协议栈?
- [align=left][color=#000]很多用户只想把zigbee mesh网络的功能运用在自己的系统或者产品中,并不需要完全按照zigbee 定义的应用层规范来做,特别是一些行业性的应用。[/color][/align][align=left][color=#000][/color][/align][align=left][color=#000]针对这样的应用需求,应该如何选择TI 合适
-
john_wang
无线连接
-
郭天祥十天学会C51单片机视频教程下载地址,国内公认最好
- 郭天祥十天学会C51单片机视频教程目前国内公认的最好的视频教程,通俗易懂,容易上手,非常适合初学者,被大量的培训机构采用。只要你认真看,认真听,保证十天让你学会单片机应用和C语言编程。全部资料打包下载地址: https://pan.baidu.com/s/1wBRfyfOzk2jEXH6Mvn-2pg提取码:TXTX备用链接:https://caiyun.139.com/m/i?0u5CM8tFt
-
tyxdz
51单片机
-
简单的VHDL小语法问题
- 我定义了SRAM_DATA1: inout std_logic_vector(15 downto 0);r: std_logic_vector(7 downto 0)将SRAM_DATA1的前八位赋值给r,请问VHDL格式怎么写?需要代码.
-
kfchu
嵌入式系统
完成课时学习+分/次