- 本课程为精品课,您可以登录eeworld继续观看:
- 射频集成电路
- 登录
- 时长:40分16秒
- 日期:2022/12/09
- 收藏视频
- 上传者:老白菜
- 去评论
推荐帖子
-
求大神帮个忙
- library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity dian isport(clk,d:in std_logic;led7s:out std_logic_vector(7 downto 0);led7x:out std_logic_vector(7 downto 0));end dian;a
-
q85669031
FPGA/CPLD
-
最“搞”头像评选——和EEWORLD一起high!
- [font=楷体_GB2312][size=6][color=red][b]票选EEWORLD最“搞”头像![/b][/color][/size][/font]活动时间:2月1日~2月28日活动内容:1、网友在本贴跟帖:以图片格式,推荐自己或者其他网友的头像,所提名的头像可以是好玩、搞笑、印象深刻、过目不忘,别具意义等。2、在3月初,由EEWORLD社区2009年度明星版主评选出最强ID。[b]所
-
EEWORLD社区
聊聊、笑笑、闹闹
-
pyboardCN V2的进一步讨论
- 因为最近事情实在太多,在加上部分功能没有完全考虑好,所以pyboardCN V2的事情推迟了一段时间。下周后时间上应该会充裕一点,可以开始启动设计了。目前的几个想法,希望和大家一起讨论:[list=1][*][b]口袋工具[/b],板子做的比较小巧,可以随身携带,在需要的时候随时可以拿出来使用。板子带有锂电池接口,可以通过USB充电。[*][b]使用方便[/b],预先设置好多种软件包,根据需要下载
-
dcexpert
MicroPython开源版块
-
关于快速排序qsort函数测试
- #includestdio.h#includestdlib.hint cmp(const void *p, const void *q);int cmp(const void *p, const void *q){ return(*(int*)p - *(int*)q);}intmain(int argc, char **argv){ int i =0; int a[5] = {8,6,3,4,5
-
wuquan-1230
Linux开发
完成课时学习+分/次