- 本课程为精品课,您可以登录eeworld继续观看:
- 离散化PID入门
- 登录
- 时长:6分50秒
- 日期:2018/07/30
- 收藏视频
- 上传者:老白菜
- 去评论
自平衡小车制作调试,PID调试,电机控制等内容
显示全部 ↓
推荐帖子
-
STM32F765@216MHz刷STemWin的Demo【代码】【视频】
- [i=s] 本帖最后由 Kεnny 于 2019-2-22 10:13 编辑 [/i][size=4]本文public自《[font=]STM32F765@216MHz输出I2S试验ES9023P音频听感[/font]》链接([/size][size=4]https://bbs.eeworld.com.cn/thread-1069926-1-1.html)[/size][size=4][/size
-
Kεnny
stm32/stm8
-
我收集到的D类音频功放资料
- 应求:D类音频功放的设计。附件内容如下:[local]1[/local]D类音频功放芯片输出级电路的设计用SG3525作调制器的D类音频功放
-
zeng07
模拟电子
-
问一下msp430的仿真机JTAG四线接法与两线接法烧录时如何区分
- 我想用外部的MSP-FET430UIF仿真机给5529烧录,单片机的板引出来的只有SBW的两线接口,我把仿真机接成两线的SBW接法时我想知道仿真机是如何识别现在接口是两线跟四线的。。我是不是不用管仿真机JTAG的接法直接烧录就行了(仿真机内部会自动识别)还是四线跟两线需要在烧录时设置些什么东西(需要信号告诉仿真机现在JTAG口的接法是什么)?
-
hsylj_520101
微控制器 MCU
-
整流电路
- 这个电路怎样使D1不截止处于导通状态原因是C3充面电后使得D1两端的电压相等这样D1就不导通了
-
清风飘过
模拟电子
-
代码出错,高手帮忙看看
- --8位10进制频率计library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity ftctrl3 isport(clkk:in std_logic;cnt_en:out std_logic;rst_cnt:out std_logic;load
-
lx773533
FPGA/CPLD
用户评论