- 本课程为精品课,您可以登录eeworld继续观看:
- 57
- 登录
- 时长:45分4秒
- 日期:2019/12/28
- 收藏视频
- 上传者:桂花蒸
- 去评论
推荐帖子
-
基于FPGA的电梯控制系统
- 请大家帮忙看看对不对自己刚学library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity dianti isport(clk:in std_logic;--时钟信号full,deng,quick,clr:in std_logic;--超载 、关
-
cj爱你
FPGA/CPLD
-
真心话
- 这个群是我见到的最好的群了。 今天刚刚注册 但是很大的收获啊。:loveliness: 。我自己也会为这个bbs尽分力的 ,
-
jiajining
聊聊、笑笑、闹闹
-
R16开发板能上网吗?
- [color=darkred]问:R16开发板能上网吗?[/color][color=black]答:可以的,wifi可以用。[/color][color=darkred]问:我用 adb shell /dev|less 没看到spi设备,怎么回事?明明编译选项都选中了?1编译内核$cd /work/android/R16/lichee/$./build.sh config分别选 0,0,0,3,
-
明远智睿Lan
综合技术交流
完成课时学习+分/次