• 本课程为精品课,您可以登录eeworld继续观看:
  • 直流马达截波器控制 马达截波器控制方法
  • 登录
课程介绍

电机控制是自动化工程中必修课程之一,其在产业自动化、机器人及智慧机械等领域扮演着极为重要的角色。电机控制是一门高度整合的课程,学生须先熟悉电动机原理,电力电子,传感器,控制理论,控制算法及微控制器等相关技能,方能融会贯通了结电机控制技术。

本课程内容包含直流电动机控制,直流无刷马达控制,线性马达控制,步进马达控制,交流马达驱动控制,旋转型与线型感应马达驱动与控制,旋转型与线型同步马达驱动与控制等。

推荐视频

    猜您喜欢

    推荐帖子

    基于LM3S2965的ADS1115的驱动程序
    [code]注意的是,ADS1115可以选择差分输入方式。通过配置寄存器设置。datasheet的19页有详细说明。地址端ADDR接地,所以器件地址为0x90.好了附代码;基准的设置是通过配置寄存器的PCA位,datasheet的13页表3有详细说明。如果需要改变采样通道,是需要对配置寄存器的12到14位重新设置的。*#include "ads1115.h"//ADS1115 GPIO 初始化vo
    zw357234798 微控制器 MCU
    EEWORLD大学堂----埃隆·马斯克访谈——成功背后的故事(中英文字幕)
    埃隆·马斯克访谈——成功背后的故事(中英文字幕):https://training.eeworld.com.cn/course/4358
    phantom7 综合技术交流
    FPGA 模拟I2C 控制器
    ---- Simple I2C controller---- 1) No multimaster-- 2) No slave mode-- 3) No fifo's---- notes:-- Every command is acknowledged. Do not set a new command before previous is acknowledged.-- Dout is avail
    eeleader FPGA/CPLD
    请问要如何截获Windows Mobile 通话中的语音串流?
    请问要如何截获Windows Mobile 通话中的语音串流?听说好像要用这些函数来操作waveInOpen – 打开一个音频输入设备(录音)waveInClose – 关闭一个音频输入设备(录音)waveOutOpen – 打开一个音频输出设备(回放)waveOutClose – 关闭一个音频输出设备(回放)waveInPrepareHeader – 为音频输入设备准备一个内存数据缓冲(录音)w
    zuoqi 嵌入式系统
    有偿请教msp430F1**系列串口通讯的问题,谢谢!
    两块430开发板做串口通讯,一块发送,一块接收!寻找发现接收的数据不对,请msp430F1**系列的高手给予指导,可以支付指导费!谢谢!qq:19581181
    zhy3928551 微控制器 MCU
    求帮忙,我想让它从0050开始累加
    library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity jifei isport(kmout:in std_logic;enable:in std_logic;reset:in std_logic;count1,count2,count3
    悲伤雪人 FPGA/CPLD

    推荐内容

    可能感兴趣器件

    完成课时学习+分/次

     
    EEWorld订阅号

     
    EEWorld服务号

     
    汽车开发圈

     
    机器人开发圈

    About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版 版权声明

    站点相关: 汽车电子 智能硬件

    北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

    电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2025 EEWORLD.com.cn, Inc. All rights reserved