- 本课程为精品课,您可以登录eeworld继续观看:
- verilog快速掌握之复杂模块设计答案
- 登录
- 时长:17分52秒
- 日期:2020/10/28
- 收藏视频
- 上传者:JFET
- 去评论
推荐帖子
-
迅为4412开发板Qt下控制硬件
- 我们在 QT 上要怎么操作驱动呢?比如,我们要点亮一个 led 灯,我们在 C 语言中可以使用 open,read,write,ioctl 函数来进行操作,但是 QT 上我们使用的语言是 C++,那我们要怎么来操作驱动呢?我们可以使用 C 和 C++进行混合编程,我们先来看一个控制蜂鸣器的 APP 代码,这里以终结者 I.MX6ULL为例,iTOP-4412 可参考测例程代码,代码如下:#incl
-
遥寄山川
ARM技术
-
Help2416开发板Linux1系统学习1-socket
- 代码的流程是这样的1 建立一个socket2 设置socket为非阻塞3 connect4 把socket放到一个fd_set中5 select 这个socket,监视可写事件6 判断是否超时或者连接成功代码如下---------------------------------------------------------------------struct sockaddr_in servAd
-
elvis_lex
嵌入式系统
-
帮忙解决下VHDL的问题(环境为quartusii7.2)
- 程序为顶层程序。如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity system isport (clk,fin,en,d,c,b,a:in std_logic;n:in std_logic_vector(7 downto 0);fout:inout std_logic);end en
-
jakysong
嵌入式系统
-
音频运放的问题
- 本电路的9号线是通过麦克风放大器MAX9814输出到该电路的输入端,5号线输出,想请教该电路的作用,各个电容有哪些作用!求指教…………谢了!
-
你好协同
模拟电子
-
新手请问2440一定要买JLINK吗
- 我想从头自学嵌入式,就在网上买了套MINI2440开发板,那个店主说调试要用到并口,我的电脑没有并口,一定要买那个JLINK吗,要180元,好贵。。。
-
chenseawind
嵌入式系统
完成课时学习+分/次